Cov txheej txheem:

Tsab ntawv tsa suab Sensor/Counter Tswj Teeb: 7 Cov Kauj Ruam
Tsab ntawv tsa suab Sensor/Counter Tswj Teeb: 7 Cov Kauj Ruam

Video: Tsab ntawv tsa suab Sensor/Counter Tswj Teeb: 7 Cov Kauj Ruam

Video: Tsab ntawv tsa suab Sensor/Counter Tswj Teeb: 7 Cov Kauj Ruam
Video: Часы G-Shock до 250 долларов-15 лучших часов Casio G до 250 доллар... 2024, Lub Xya hli ntuj
Anonim
Tsab ntawv tsa suab Sensor/Counter Tswj Teeb
Tsab ntawv tsa suab Sensor/Counter Tswj Teeb

Txoj haujlwm no tau tsim los ua qhov haujlwm kawg rau Digital Design chav kawm ntawm Cal Poly, San Luis Obispo (CPE 133).

Vim li cas peb thiaj ua li no? Peb xav pab txuag cov peev txheej hauv ntiaj teb. Peb tes num tsom mus rau txuag hluav taws xob. Los ntawm kev txuag hluav taws xob ntau dua, peb yuav tuaj yeem txuag cov peev txheej uas tau siv los tsim hluav taws xob. Raws li peb pib xyoo 2018, cov peev txheej ntuj tau siv los ntawm qhov tsis txaus ntseeg. Peb xav kom paub txog peb qhov kev cuam tshuam rau peb ib puag ncig thiab ua peb txoj haujlwm hauv kev khaws cia cov peev txheej. Cov khoom siv hluav taws xob tuaj yeem siv tau hauv ntau txoj hauv kev kom txuag hluav taws xob uas pab ib puag ncig nrog rau peb lub xeev kev lag luam.*Cov qauv no tau tsim los siv cov khoom muaj rau peb.

Dab tsi yog peb qhov kev tshoov siab? Tib neeg feem ntau hnov qab tua lawv lub teeb hnub so, thiab siv lub zog los ntawm kev tso lawv tseg hmo ntuj. Qhov tseeb, txoj haujlwm no yuav txuag hluav taws xob vim tias "teeb hnub so" tsuas yog nyob rau thaum tib neeg nyob ze, yog li txuag hluav taws xob thaum tsis muaj leej twg nyob ib puag ncig. Ntxiv mus, peb xav tsim lub sijhawm teem kom lub teeb yuav kaw tag tom qab lub sijhawm muab kom ntseeg tau tias lawv tsis qhib vim muaj kev txav chaw pom thaum 3 teev sawv ntxov, piv txwv.

Koj yuav siv tus qauv no li cas? Qhov kev tsim qauv no tuaj yeem siv rau txhua yam teeb pom kev, txawm tias lawv zoo nkauj, siv tau, lossis ob qho tib si. Yog tias koj xav kom koj lub teeb pom kev zoo tsuas yog ua haujlwm rau 6 teev nyob rau ib lub sijhawm, piv txwv. Koj yuav tsum teeb lub txee rau 21, 600 vib nas this (6 teev x 3, 600 vib nas this/teev). Thaum lub txee tau nce zuj zus, lub suab txav txav yuav tswj lub teeb. Yog li, txhua lub sijhawm nws tawm mus nyob rau lub sijhawm ntawd, koj tsuas yog yuav tsum yoj tes rau ntawm lub ntsej muag lub suab thiab nws yuav tig rov qab. Yog tias koj tsaug zog ntawm koj lub rooj thiab sawv tom qab 7 teev tom qab, koj lub zog yuav tsis qhib nws.

Kauj ruam 1: Yuav tsum tau Software thiab Kho vajtse

Yuav tsum tau Software thiab Kho vajtse
Yuav tsum tau Software thiab Kho vajtse
Yuav tsum tau Software thiab Kho vajtse
Yuav tsum tau Software thiab Kho vajtse
Yuav tsum tau Software thiab Kho vajtse
Yuav tsum tau Software thiab Kho vajtse
Yuav tsum tau Software thiab Kho vajtse
Yuav tsum tau Software thiab Kho vajtse

Software:

  • Vivado 2016.2 (lossis qhov hloov tshiab tsis ntev los no) tuaj yeem pom ntawm no
  • Arduino IDE 1.8.3 (lossis hloov kho dua tshiab) tuaj yeem pom ntawm no

Kho vajtse:

  • 1 Basys 3 pawg thawj coj saib
  • 1 Arduino UAS
  • 2 Cov qhob cij
  • 1 Ultrasonic Ranging sensor HC-SR04
  • 9 Txiv neej-rau-txiv neej cov xov hlau
  • 1 LED
  • 1100Ω Cov neeg tiv taus

Kauj Ruam 2: Cov Cai (Vivado)

Cov Cai (Vivado)
Cov Cai (Vivado)

Finite State Machine (saib lub xeev daim duab saum toj no):

LED xav tau lub xeev lub tshuab ua kom tiav. LED muaj tsuas yog ob lub xeev ntawm kev nyob thiab tua. Tsuas yog ob lub tswv yim tswj hwm lub xeev ntawm LED, lub txee thiab lub ntsuas pa. Tsuas yog lub sijhawm LED yuav tsum yog thaum lub ntsuas pom pom kev txav chaw thiab thaum lub txee suav los ntawm xoom mus rau peb caug vib nas this. Lwm qhov teeb meem LED yuav tawm.

Cov ntaub ntawv npe: LEDDES

Txee:

Lub txee tso cai rau peb txwv lub sijhawm ntev npaum li cas thaum lub suab txav txav tuaj yeem qhib LED. Nws tus nqi tau nthuav tawm ntawm Basys 3 Board's xya ntu tso tawm los ntawm qhov chaws ("sseg_dec"). Thaum qhov hloov pauv pib poob qis (tus nqi: '0'), lub txee pib nce txhua txhua ob los ntawm 0 txog 30. Thaum nws mus txog 30, nws khov ntawm tus lej ntawd. Nws yuav tsis rov pib dua los ntawm 0 kom txog thaum rov pib dua tau hloov mus rau '1' thiab rov qab mus rau '1.' Yog tias rov pib ua '1' thaum lub txee mus, lub txee yuav khov rau ntawm tus nqi nws tau mus txog. Thaum rov pib rov qab mus rau '0,' lub txee yuav rov pib dua los ntawm 0 txog 30. Qhov kev siv no tseem xav tau kev siv lub moos teeb liab, nws cov cai tau muab hauv qab no ("clk_div2").

Cov ntaub ntawv npe: FinalCounter

COV NTAUB NTAWV QHIA:

Xya ntu Zaub:

Txoj cai no tso cai rau xya ntu ntu los tso saib cov lej zauv. Ib qho submodule ua raws li kev txiav txim siab ntawm 8-ntsis binary nkag mus rau 4-ntsis Binary Coded Decimal. Lwm qhov sib faib lub moos teeb liab los kho nws tus nqi ntawm qee yam.

Cov ntaub ntawv npe: sseg_dec

Mloog Teeb Meem:

Txoj cai no tso cai rau lub txee nce ntxiv ntawm 1 thib ob. Nws faib cov zaus siv zaus ua rau qeeb dua. Peb yoog kom muab lub sijhawm ntawm 1 thib ob los ntawm kev hloov pauv tas li max_count: integer: = (3000000) "rau" qhov max_count: integer: = (50000000)."

Cov ntaub ntawv npe: clk_div2

Muab cov ntaub ntawv: sseg_dec, clk_div2 *Cov ntaub ntawv no tau muab los ntawm Xibfwb Bryan Mealy.

Kauj Ruam 3: Nkag Siab Li Cas Lawv Tuaj Ua Ke (Schematics ntawm VHDL Cheebtsam)

Nkag Siab Li Cas Lawv Tuaj Ua Ke (Schematics ntawm VHDL Cheeb Tsam)
Nkag Siab Li Cas Lawv Tuaj Ua Ke (Schematics ntawm VHDL Cheeb Tsam)

Cov ntaub ntawv tseem ceeb ("MainProjectDES") muaj tag nrho cov subfiles sib tham yav tas los. Lawv tau txuas nrog rau saum toj no. Cov khoom sib txawv sib cuam tshuam nrog kev siv daim ntawv qhia chaw nres nkoj kom xa lub teeb liab los ntawm ib qho mus rau lwm qhov.

Raws li koj yuav tau pom, FinalCounter muab 5-ntsis tso tawm thaum sseg_dec xav tau 8-ntsis nkag. Txhawm rau them nyiaj, peb teeb tsa lub teeb liab txuas ob qho khoom siv kom pib nrog "000" thiab ntxiv rau ntawm 5-ntsis tso tawm ntawm lub txee. Yog li muab cov tswv yim 8-ntsis.

Txwv:

Txhawm rau khiav cov lej no ntawm Basys 3 Board, yuav tsum tau ua cov ntaub ntawv txwv, qhia txhua lub teeb liab tias yuav mus qhov twg thiab qhov txuas tau txuas nrog li cas.

Kauj Ruam 4: Txoj Cai (Arduino)

Peb tau teeb tsa Arduino Uno kom siv lub suab txav txav txhawm rau txheeb xyuas kev txav chaw thiab muab cov zis tso tawm kom pom lub teeb kom pom kev. Ib qho ntxiv, siv lub ntsuas hluav taws xob txhawm rau txheeb xyuas cov lus tsa suab yuav tsum tau ua haujlwm loops uas tas li saib rau kev hloov pauv nyob deb. Qhov tseem ceeb, nws xav tau lub sijhawm ua haujlwm uas ua haujlwm ib txhij kom tso tawm "siab" teeb liab rau LED kom pom kev thaum lub sijhawm yuav tsum tau rov pib dua thaum muaj cov lus pom tshiab, uas yuav luag tsis tuaj yeem siv tau ntawm Vivado raws li qhov kev paub ntawm chav kawm Ntxiv mus, peb siv Arduino vim tias nws yuav tsis muaj peev xwm siv HC-SR04 nrog Basys 3 Board txij li lub rooj tsavxwm tsuas yog siv 3.3V thaum lub ntsuas hluav taws xob xav tau 5V lub zog siv. Rau kev ua tiav ntawm kev tshuaj xyuas kev txav mus los, nws yog qhov tseeb coding li tawm tsam CAD hauv VHDL.

Peb siv cov mem tes-hauv ua haujlwm rau lub sensor kom rov qab tau lub sij hawm dhau los ntawm lub suab pib tawm los ntawm lub ntsuas cua thiab lub suab uas thaws rov qab thaum tsoo ib yam khoom. Tom qab ntawd peb siv qhov nrawm ntawm lub suab thiab lub sijhawm sib nrug los xam qhov deb ntawm qhov khoom thiab lub ntsuas. Los ntawm qhov ntawd, peb khaws qhov deb tam sim no thiab taug qab nws. Peb txheeb xyuas qhov nrug txhua 150ms. Peb kuj tseem siv lub tsev qiv ntawv elapsedmil los ua lub sijhawm ua haujlwm sab hauv hauv arduino kom taug qab lub sijhawm dhau los. Yog tias peb pom qhov kev hloov pauv nyob deb, uas sib haum rau kev txav mus los, lub sijhawm tau rov pib dua rau xoom thiab nws yuav ua lub teeb ci kom txog thaum 3 vib nas this dhau los. Thaum twg los xij lub ntsuas pom lwm qhov txav mus, lub sijhawm rov pib dua 0 thiab teeb liab rau lub teeb ci LED yuav "siab" rau 3 vib nas this tom ntej. Peb tau txuas ib daim qauv ntawm peb Arduino code hauv qab no.

Kauj Ruam 5: Peb Cov Cheeb Tsam Sib Haum Li Cas

Yuav Ua Li Cas Peb Cov Cheeb Tsam Haum Ua Ke!
Yuav Ua Li Cas Peb Cov Cheeb Tsam Haum Ua Ke!
Yuav Ua Li Cas Peb Cov Cheeb Tsam Haum Ua Ke!
Yuav Ua Li Cas Peb Cov Cheeb Tsam Haum Ua Ke!
Yuav Ua Li Cas Peb Cov Cheeb Tsam Haum Ua Ke!
Yuav Ua Li Cas Peb Cov Cheeb Tsam Haum Ua Ke!

Raws li koj tuaj yeem pom hauv "Basys3: Pmod Pin-out Diagram*" thiab daim duab ntawm Arduino Uno Board, peb tau hais meej thiab sau npe cov chaw nres nkoj peb siv.

1. Pawg LED thiab Basys 3

LED tau txuas nrog hauv kab nrog 100Ω resistor. -Cov kab hlau dawb txuas tus tiv thaiv tus pin rau PWR ntawm Pawg Basys 3. -Cov xim daj txuas cov LED txuas tus pin H1 ntawm Pawg Basys 3.

2. Lub Motion Sensor thiab Arduino Uno

-Cov txiv kab ntxwv xaim txuas Vcc (lub zog) ntawm lub suab txav mus rau tus pin 5V ntawm Arduino Uno board.-Cov kab xaim dawb txuas tus pin Trig ntawm cov lus tsa suab sensor rau tus pin 10 ntawm Arduino Uno board.- Cov hlau daj txuas tus pin Echo ntawm lub suab txav mus rau tus pin 9 ntawm Arduino Uno board.-Cov xaim dub txuas tus pin GND ntawm lub suab txav mus rau tus pin GND ntawm Arduino Uno board.

[Cov xov hlau uas peb siv tau luv dhau los mus txog cov khoom siv, yog li lawv tau cuam tshuam nrog]

3. The Basys 3 Board thiab Arduino Uno

Cov hlau daj txuas tus pin A14 ntawm Basys 3 pawg thawj coj los pin 6 ntawm Arduino Uno board.

*Daim duab no tau muab los ntawm Digilent's "Basys 3 ™ FPGA Board Reference Manual" uas tuaj yeem pom ntawm no.

Kauj Ruam 6: Qhia tawm

Kauj Ruam 7: Sijhawm Kuaj Nws

Nrog koj zoo siab! Koj tau ua nws qhov kawg ntawm peb cov lus tsa suab sensor & txee tswj lub teeb project! Ua tsaug ntau ntau rau kev nyeem los ntawm peb Cov Lus Qhia Tshaj Tawm. Tam sim no nws yog lub sijhawm rau koj los sim tsim txoj haujlwm no koj tus kheej. Yog tias koj ua raws txhua kauj ruam ua tib zoo, koj yuav tsum muaj lub suab txav txav & lub teeb tswj lub teeb uas ua haujlwm zoo ib yam li peb li! Peb xav koj txoj hmoov zoo hauv kev tsim txoj haujlwm no, thiab vam tias nws tuaj yeem pab txhawb kev txuag hluav taws xob nrog rau cov peev txheej ntuj!

Pom zoo: