Cov txheej txheem:

Tsab ntawv tsa suab Sensored LED: 8 Kauj Ruam
Tsab ntawv tsa suab Sensored LED: 8 Kauj Ruam

Video: Tsab ntawv tsa suab Sensored LED: 8 Kauj Ruam

Video: Tsab ntawv tsa suab Sensored LED: 8 Kauj Ruam
Video: ? Что такое многополосный 6 атомный хронометраж ? То 2024, Lub Xya hli ntuj
Anonim
Image
Image

Tom qab:

Koj puas tau hnov qab tua lub teeb ua ntej koj tawm mus rau chav kawm lossis ua haujlwm lossis ua ntej koj yuav mus pw? Cov teev ntawd nrog lub teeb thaum koj tsis siv lawv tuaj yeem ntxiv qhov nqi thiab poob zog. Piv txwv li, raws li solarcity.com, tawm ntawm lub teeb txhua hmo rau ib lub lim tiam tuaj yeem ntxiv $ 25 rau koj daim nqi hluav taws xob! Rau peb txoj haujlwm CPE133, peb tsim lub teeb tsa lub teeb pom kev zoo los pab tib neeg kom txuag hluav taws xob hauv lawv lub tsev thiab siv lawv lub teeb kom zoo.

Peb qhov system hauv kev xyaum:

Hauv kev xyaum, lub teeb tsuas yog qhib thaum lub ntsuas pom lub suab txav hauv chav. Tom qab ntawd lub teeb yuav nyob twj ywm rau lub sijhawm teev tseg, zoo li ib ncig 30 feeb, thiab tom qab ntawv kaw cia. Tab sis cia peb hais tias koj nyuam qhuav dhau los lossis xav tawm hauv chav thaum ntxov ua ntej cov sijhawm teem tseg. Rau cov xwm txheej no, peb tau teeb tsa lub pob uas koj tuaj yeem qhib lub teeb lossis tua tus kheej. Nco ntsoov lub teeb yuav nyob rau 30 feeb txawm tias thaum lub teeb qhib rau ntawm tus kheej lossis txiav (tshwj tsis yog lub teeb raug kaw ntawm tus kheej).

Simulation ntawm lub nkoj:

Txhawm rau pom tias lub sijhawm ua haujlwm peb hloov lub sijhawm mus rau 1 feeb.

Cov ntaub ntawv:

  • 1 Basys board (koj tuaj yeem pom ib qho ntawm no los ntawm Digilent)
  • 1 PIR tsab ntawv tsa suab sensor (koj tuaj yeem pom ib qho ntawm no ntawm Amazon)
  • 1 qhob cij thiab khoom siv (peb hais qhia siv qhov no los ntawm Amazon)
  • Los ntawm cov khoom siv saum toj no

    • 1 LED
    • 3 poj niam rau txiv neej jumper cables
    • 6 txiv neej rau txiv neej jumper cables

Kauj ruam 1: Timer

Txhawm rau kom LED nyob twj ywm rau 1 feeb, peb yuav tsum xub tsim lub sijhawm. Basys 3 pawg thawj coj muaj lub sijhawm sab hauv ntawm 100MHz yog li ua 100 lab mus los sib npaug rau 1 thib ob. Qhov no tau siv los ua qhov sib txawv uas yuav ua tus lej ntau tshaj rau "t_cnt". T_cnt nce ntxiv 1 raws li Pawg Basys 3 ua tiav lub voj voog. Thaum nws mus txog 100 lab cim nws yuav rov pib dua thiab lwm qhov sib txawv, "sec", yuav nce ntxiv 1. Qhov no "sec" sib txawv nruab nrab ntawm cov vib nas this dhau los thiab ib zaug qhov sib txawv sib npaug yog 60, tag nrho feeb tau dhau mus.

Luam cov cai hauv qab no rau hauv vhdl cov ntaub ntawv npe hu ua Timer.

qhov chaw COUNT_8B yog

chaw nres nkoj (RESET: hauv std_logic;

CLK: hauv std_logic; T: tawm std_logic: = '0');

kawg COUNT_8B;

architecture my_count ntawm COUNT_8B yog

qhov max_count: integer: = (100000000); --signal t_cnt: std_logic_vector (7 downto 0): = "00000000"; teeb liab t_cnt: integer: = (0); pib txheej txheem (CLK, RESET, t_cnt) sib txawv sec: integer: = 0; pib yog (nce_edge (CLK)) ces yog (RESET = '1') ces t_cnt <= (0); - meej elsif (t_cnt = max_count) ces- max_count yog 100 lab uas yog sib npaug rau 1 thib ob t_cnt <= (0); - Pib dua lub moos sab hauv rau 0 sec: = sec + 1; - Ua kom peb 'lub moos qeeb' los ntawm 1 yog (sec = 60) tom qab ntawd- Thaum nws mus txog 60 vib nas this ces nws tau mus txog lub sijhawm siab kawg sec: = 0; - Rov pib dua "moos qeeb" rau 0 T <= '1'; kawg yog; lwm t_cnt <= t_cnt + 1; - nce lub moos sab hauv T <= '0'; kawg yog; kawg yog; txheej txheem kawg; kawg my_count;

Kauj ruam 2: Khawm Optimization

LED
LED

Txij li qhov zaus hauv Basys cov laug cam tau siab heev (ib puag ncig 100 MHz) thaum koj nias rau qhov koj xav tias yog lub sijhawm luv rau Basys board koj yuav tsum nias nws 100, 000 zaug. Qhov no ua rau lub teeb ci ntsa iab ntawm lub xeev qhib thiab tawm sai. Peb tau sim ua kom zoo dua lub pob los ntawm kev tsim lub xeev daim duab los txo qhov flickering.

D-flip-flops yuav tuav txhua lub xeev thiab tom qab ntawd peb yuav qhia meej txog kev hloov pauv hauv lub xeev hauv cov lus txheej txheem.

Luam cov cai hauv qab no rau hauv vhdl cov ntaub ntawv npe hu ua Button.

tsev qiv ntawv IEEE; siv IEEE. STD_LOGIC_1164. ALL;

khawm qhov chaw yog

Chaw nres nkoj (btn: hauv STD_LOGIC; clk: hauv STD_LOGIC; E: tawm STD_LOGIC); khawm kawg;

architecture Tus cwj pwm ntawm khawm yog

type state_type is (PRESSED, NP); PS, NS: state_type: = NP;

pib

seq_proc: txheej txheem (NS, clk) pib yog (nce_edge (clk)) ces PS <= NS; kawg yog; kawg txheej txheem seq_proc;

ns_proc: txheej txheem (btn, PS)

pib rooj PS yog thaum NP => yog (btn = '1') ces NS <= NPAJ; E <= '1'; else NS <= NP; E yog (btn = '0') ces NS <= NP; E <= '0'; lwm NS <= XOV XWM; E <= '0'; kawg yog; rooj plaub xaus; txheej txheem kawg ns_proc;

kawg Tus Cwj Pwm;

Kauj ruam 3: LED

LED muaj ob lub xeev: OFF (lossis IDLE) thiab ON. Raws li tau hais ua ntej, cov xeev tau khaws cia hauv d-flip-flop. Lub teeb yuav tig yog tias lub ntsuas pom pom cov lus tsa suab (S = 1) lossis thaum lub pob nyem (E = 1). Cov LED yuav tua tau yog tias lub sijhawm nce mus txog 1 feeb (T = 1) lossis siv tus kheej thaum lub pob nyem (E = 1).

Luam cov cai hauv qab no rau hauv vhdl cov ntaub ntawv npe hu ua LED.

qhov chaw motion_sensored_light yog Port (S: hauv STD_LOGIC; - sesnor; Chaw nres nkoj JA10/Pin G3 E: hauv STD_LOGIC; - khawm sab nraud rau phau ntawv ua haujlwm; Chaw khawm T: hauv STD_LOGIC; - thaum timer nce mus txog lub sijhawm kawg; Los ntawm timer LED: tawm STD_LOGIC; - teeb TRST: tawm STD_LOGIC; - teeb tsa timer clk: hauv STD_LOGIC); - clk rau flip flop uas tuav lub xeev kawg motion_sensored_light;

architecture Tus cwj pwm ntawm kev txav_sensored_light yog

hom xeev_type yog (ST0, ST1); --ST0 = IDLE, ST1 = LED HIGH

teeb liab PS, NS: state_type: = ST0; PRESENT STATE AND NEXT STATE, pib hauv ST0 IDLE

pib

- txheej txheem thaiv ntawm flip flop- hloov kho lub xeev ntawm nce ntug ntawm moos seq_proc: txheej txheem (NS, clk) pib- d flip flop uas tuav lub xeev yog (nce_edge (clk)) ces PS <= NS; kawg yog; kawg txheej txheem seq_proc;

ns_proc: txheej txheem (S, E, T, PS)

pib rooj PS yog thaum ST0 => LED <= '0'; - cov txiaj ntsig rau lub xeev tsis ua haujlwm TRST <= '1'; yog (S = '0' LOSSIS E = '1') ces - cov tswv yim hloov mus los ntawm st0 rau st1 NS <= ST1; lwm tus NS LED <= '1'; - cov txiaj ntsig rau lub xeev TRST <= '0'; if (E = '1' OR T = '1') then - inputs to transition from st1 to st0 NS <= ST0; lwm tus NS <= ST1; kawg yog; rooj plaub xaus; txheej txheem kawg ns_proc;

kawg Tus Cwj Pwm;

Kauj ruam 4: Cov ntaub ntawv saum toj kawg nkaus

Tam sim no peb tab tom xa daim ntawv qhia tag nrho peb lwm cov ntaub ntawv mus rau hauv ib qho.

Luam cov cai hauv qab no rau hauv vhdl qhov chaw cov ntaub ntawv npe Top_File.

tsev qiv ntawv IEEE; siv IEEE. STD_LOGIC_1164. ALL;

qhov chaw Top_File yog

Chaw nres nkoj (S: hauv STD_LOGIC: = '1'; - sesnor; Chaw nres nkoj JA10/Pin G3 btn: hauv STD_LOGIC: = '0'; - khawm sab nraud rau kev ua haujlwm; Chaw khawm LED: tawm STD_LOGIC; - lub teeb clk: hauv STD_LOGIC); - clk rau flip flop uas tuav lub xeev kawg Top_File;

architecture Tus Cwj Pwm ntawm Top_File yog

tivthaiv COUNT_8B yog

chaw nres nkoj (RESET: hauv std_logic: = '0'; CLK: hauv std_logic; T: tawm std_logic: = '0'); kawg tivthaiv; tivthaiv motion_sensored_light yog Chaw Nres Nkoj (S: hauv STD_LOGIC; - sesnor; Chaw nres nkoj JA10/Pin G3 E: hauv STD_LOGIC; - khawm sab nraud rau phau ntawv ua haujlwm; Chaw khawm T: hauv STD_LOGIC; - thaum timer nce mus txog sijhawm kawg; Los ntawm timer LED: tawm STD_LOGIC; - teeb TRST: tawm STD_LOGIC; - teeb tsa timer clk: hauv STD_LOGIC); - clk rau flip flop uas tuav lub xeev kawg tivthaiv; tivthaiv khawm yog Port (btn: hauv STD_LOGIC; clk: hauv STD_LOGIC; E: tawm STD_LOGIC); kawg tivthaiv; teeb liab t_reached_c: std_logic; - teeb liab r_time_c: std_logic; - teeb liab button_c: std_logic;

pib

timer: COUNT_8B chaw nres nkoj daim ntawv qhia (RESET => r_time_c, CLK => CLK, T => t_reached_c); motion_sensor: motion_sensored_light chaw nres nkoj daim ntawv qhia (S => S, E => button_c, T => t_reached_c, LED => LED, TRST => r_time_c, clk => clk); button_controller: khawm daim ntawv qhia chaw (btn => btn, clk => clk, E => button_c); kawg Tus Cwj Pwm;

Kauj Ruam 5: Cov Ntaub Ntawv Txwv

Tam sim no peb yuav tsum txheeb xyuas qhov twg peb cov tswv yim thiab cov txiaj ntsig yuav nyob ntawm lub rooj tsavxwm.

Luam cov cai hauv qab no rau hauv vhdl txwv cov ntaub ntawv npe txwv.

## Cov ntaub ntawv no yog dav.xdc rau Basys3 rev B board ## Txhawm rau siv nws hauv txoj haujlwm: ## - tsis suav nrog cov kab sib thooj rau siv tus pin ## - hloov npe cov chaw nres nkoj siv (hauv txhua kab, tom qab get_ports) raws li mus rau qib siab tshaj npe cov npe hauv qhov haujlwm

## Lub teeb liab

set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] ## Hloov #set_property PACKAGE_PIN [0] #17 set_property IOSTANDARD LVCMOS33 [get_ports {sw [0]}] #set_property PACKAGE_PIN V16 [get_ports {sw [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [1]}] #set_property PACKAGE_PIN W16 [get_ports] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [2]}] #set_property PACKAGE_PIN W17 [get_ports {sw [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [3]}] #set_property PACKAGE_PIN W15 [tau txais 4]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [4]}] #set_property PACKAGE_PIN V15 [get_ports {sw [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [5]}] #set_property PACKAGE_PIN W14 [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [6]}] #set_property PACKAGE_PIN W13 [get_ports {sw [7]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [7]}] #set_property PACKAGE_PIN V2 [get_ports {sw [8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [8]}] #set_property PACKAGE_PIN T3 [get_ports {sw [9]}] #set_property IOSNDARD LVCMOS33 [get_ports {sw [9]}] #set_property PACKAGE_PIN T2 [get_ports {sw [10]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [10]}] #set_property PACKAGE_PIN R3 [get_ports {sw [11]}] # set_property IOSTANDARD LVCMOS33 [get_ports {sw [11]}] #set_property PACKAGE_PIN W2 [get_ports {sw [12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [12]}] #set_property PACKAGE_PIN U1 [get_ports] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [13]}] #set_property PACKAGE_PIN T1 [get_ports {sw [14]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [14]}] #set_property PACKAGE_PIN R2 [tau txais 15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [15]}]

## LEDs

#set_property PACKAGE_PIN U16 [get_ports {coj [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {coj [0]}] #set_property PACKAGE_PIN E19 [get_ports {coj [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports] }] #set_property PACKAGE_PIN U19 [get_ports {led [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [2]}] #set_property PACKAGE_PIN V19 [get_ports {led [3]}] #set_property IOSTANDARD led LVCMOS33 3]}] #set_property PACKAGE_PIN W18 [get_ports {coj [4]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [4]}] #set_property PACKAGE_PIN U15 [get_ports {led [5]}] #set_property IOSTANDARD LVCMOS33 coj [5]}] #set_property PACKAGE_PIN U14 [get_ports {coj [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [6]}] #set_property PACKAGE_PIN V14 [get_ports {led [7]}] #set_property IOSTANDARD LVC get_ports {coj [7]}] #set_property PACKAGE_PIN V13 [get_ports {coj [8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [8]}] #set_property PACKAGE_PIN V3 [get_ports {coj [9]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [9]}] #set_property PACKAGE_PIN W3 [get_ports {led [10]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [10]}] #set_property PACKAGE_PIN U3 [11]}] #set_property IOSTANDARD LVCMOS33 [get_ports {coj [11]}] #set_property PACKAGE_PIN P3 [get_ports {led [12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [12]}] #set_property PACKAGE_PIN3 {coj [13]}] #set_property IOSTANDARD LVCMOS33 [get_ports {coj [13]}] #set_property PACKAGE_PIN P1 [get_ports {led [14]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [14]}] #set_property PACKAGE_ [get_ports {coj [15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {coj [15]}] ## 7 ntu zaub #set_property PACKAGE_PIN W7 [get_ports {seg [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [0]}] #set_property PACKAGE_PIN W6 [get_ports {seg [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [1]}] #set_property PACKAGE_PIN U8 [get_ports {seg [2]}] #set_property IOSTA NDARD LVCMOS33 [get_ports {seg [2]}] #set_property PACKAGE_PIN V8 [get_ports {seg [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [3]}] #set_property PACKAGE_PIN U5 [get_ports {seg] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [4]}] #set_property PACKAGE_PIN V5 [get_ports {seg [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [5]}] #set_property PACKAGE_PIN U7 }] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [6]}]

#set_property PACKAGE_PIN V7 [get_ports dp]

#set_property IOSTANDARD LVCMOS33 [get_ports dp]

#set_property PACKAGE_PIN U2 [get_ports {an [0]}]

#set_property IOSTANDARD LVCMOS33 [get_ports {an [0]}] #set_property PACKAGE_PIN U4 [get_ports {an [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an [1]}] #set_property PACKAGE_PIN V4 [tau] }] #set_property IOSTANDARD LVCMOS33 [get_ports {an [2]}] #set_property PACKAGE_PIN W4 [get_ports {an [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an [3]}]

## Nyees khawm

set_property PACKAGE_PIN U18 [get_ports btn] set_property IOSTANDARD LVCMOS33 [get_ports btn] #set_property PACKAGE_PIN T18 [get_ports btnU] #set_property IOSTANDARD LVCMOS33 [get_ports btnU] #set_property PACK [get_ports btnR] #set_property IOSTANDARD LVCMOS33 [get_ports btnR] #set_property PACKAGE_PIN U17 [get_ports btnD] #set_property IOSTANDARD LVCMOS33 [get_ports btnD]

## Pmod Header JA

## Sch npe = JA1 #set_property PACKAGE_PIN J1 [get_ports {JA [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [0]}] ## Sch name = JA2 #set_property PACKAGE_PIN L2 [get_ports {JA [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [1]}] ## Sch npe = JA3 #set_property PACKAGE_PIN J2 [get_ports {JA [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [2]}] ## Sch npe = JA4 #set_property PACKAGE_PIN G2 [get_ports {JA [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [3]}] ## Sch name = JA7 #set_property PACKAGE_PIN H1 [get_ports {JA [4]}] #set_perty LVCMOS33 [get_ports {JA [4]}] ## Sch name = JA8 set_property PACKAGE_PIN K2 [get_ports LED] set_property IOSTANDARD LVCMOS33 [get_ports LED] ## Sch name = JA9 #set_property PACKAGE_PIN H2 [get_ports {JA [6] set_property IOSTANDARD LVCMOS33 [get_ports {JA [6]}] ## Sch npe = JA10 set_property PACKAGE_PIN G3 [get_ports S] set_property IOSTANDARD LVCMOS33 [get_ports S]

## Pmod Header JB

## Sch npe = JB1 #set_property PACKAGE_PIN A14 [get_ports {JB [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [0]}] ## Sch name = JB2 #set_property PACKAGE_PIN A16 [get_ports {JB [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [1]}] ## Sch npe = JB3 #set_property PACKAGE_PIN B15 [get_ports {JB [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [2]}] ## Sch npe = JB4 #set_property PACKAGE_PIN B16 [get_ports {JB [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [3]}] ## Sch name = JB7 #set_property PACKAGE_PIN A15 [get_ports {JB [4]}] #set_perty LVCMOS33 [get_ports {JB [4]}] ## Sch name = JB8 #set_property PACKAGE_PIN A17 [get_ports {JB [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [5]}] ## Sch npe = JB9 #set_property PACKAGE_PIN C15 [get_ports {JB [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [6]}] ## Sch npe = JB10 #set_property PACKAGE_PIN C16 [get_ports {JB [7]}] #set_property IOSTANDARD tau txais LVCM33 JB [7]}]

## Pmod Header JC

## Sch npe = JC1 #set_property PACKAGE_PIN K17 [get_ports {JC [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [0]}] ## Sch name = JC2 #set_property PACKAGE_PIN M18 [get_ports {JC [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [1]}] ## Sch npe = JC3 #set_property PACKAGE_PIN N17 [get_ports {JC [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [2]}] ## Sch npe = JC4 #set_property PACKAGE_PIN P18 [get_ports {JC [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [3]}] ## Sch name = JC7 #set_property PACKAGE_PIN L17 [get_ports {JC [4]}] #set_perty LVCMOS33 [get_ports {JC [4]}] ## Sch npe = JC8 #set_property PACKAGE_PIN M19 [get_ports {JC [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [5]}] ## Sch npe = JC9 #set_property PACKAGE_PIN P17 [get_ports {JC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [6]}] ## Sch name = JC10 #set_property PACKAGE_PIN R18 [get_ports {JC [7]}] #set_property IOSTANDARD tau txais LVCM33 JC [7]}]

## Pmod Header JXADC

## Sch npe = XA1_P #set_property PACKAGE_PIN J3 [get_ports {JXADC [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [0]}] ## Sch npe = XA2_P #set_property PACKAGE_PIN L3 [getCports] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [1]}] ## Sch name = XA3_P #set_property PACKAGE_PIN M2 [get_ports {JXADC [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC #npe] = XA4_P #set_property PACKAGE_PIN N2 [get_ports {JXADC [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [3]}] ## Sch name = XA1_N #set_property PACKAGE_PIN K3 [get_ports {JND] LVCMOS33 [get_ports {JXADC [4]}] ## Sch npe = XA2_N #set_property PACKAGE_PIN M3 [get_ports {JXADC [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [5]}] ## Sch npe = XA3_ty PACKAGE_PIN M1 [get_ports {JXADC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [6]}] ## Sch name = XA4_N #set_property PACKAGE_PIN N1 [get_ports {JXADC [7]}] #set_property IOSTA [tau_ports {JXADC [7]}]

## VGA Txuas

#set_property PACKAGE_PIN G19 [get_ports {vgaRed [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed [0]}] #set_property PACKAGE_PIN H19 [get_ports {vgaRed [1]}] #set_property IOSTAND }] #set_property PACKAGE_PIN J19 [get_ports {vgaRed [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed [2]}] #set_property PACKAGE_PIN N19 [get_ports {vgaRed [3]}] #set_property IOSTA 3]}] #set_property PACKAGE_PIN N18 [get_ports {vgaBlue [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue [0]}] #set_property PACKAGE_PIN L18 [get_ports {vgaBlue [1] #set_Pro vgaBlue [1]}] #set_property PACKAGE_PIN K18 [get_ports {vgaBlue [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue [2]}] #set_property PACKAGE_PIN J18 [get_ports {vgaBlue [3] get_ports {vgaBlue [3]}] #set_property PACKAGE_PIN J17 [get_ports {vgaGreen [0]}] #set_property IOSTANDARD LVCMOS33 [get_p orts {vgaGreen [0]}] #set_property PACKAGE_PIN H17 [get_ports {vgaGreen [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen [1]}] #set_property PACKAGE_PIN G17 [get_ports {vgaGreen [2] }tyty LVCMOS33 [get_ports {vgaGreen [2]}] #set_property PACKAGE_PIN D17 [get_ports {vgaGreen [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen [3]}] #set_property PACKAGE_PIN P19 [get_portssypro Hsync] #set_property PACKAGE_PIN R19 [get_ports Vsync] #set_property IOSTANDARD LVCMOS33 [get_ports Vsync]

## USB-RS232 Interface

#set_property PACKAGE_PIN B18 [get_ports RsRx] #set_property IOSTANDARD LVCMOS33 [get_ports RsRx] #set_property PACKAGE_PIN A18 [get_ports RsTx] #set_property IOSTANDARD LVCMOS33 [get_ports RsTx]

## USB HID (PS/2)

#set_property PACKAGE_PIN C17 [get_ports PS2Clk] #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk] #set_property PULLUP tseeb [get_ports PS2Clk] #set_property PACKAGE_PIN B17 [get_ports PS2Data] #set_property LTSM

## Quad SPI Flash

## Nco ntsoov tias CCLK_0 tsis tuaj yeem muab tso rau hauv 7 cov khoom siv. Koj tuaj yeem nkag mus siv nws ## STARTUPE2 txheej thaum ub. #set_property PACKAGE_PIN D18 [get_ports {QspiDB [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB [0]}] #set_property PACKAGE_PIN D19 [get_ports {QspiDB [1]}] #set_property IOSTA33 [QOS] }] #set_property PACKAGE_PIN G18 [get_ports {QspiDB [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB [2]}] #set_property PACKAGE_PIN F18 [get_ports {QspiDB [3]}] #set_property IOSTA33 3]}] #set_property PACKAGE_PIN K19 [get_ports QspiCSn] #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]

Kauj Ruam 6: Txuas Txuas PIR Motion Sensor

Txuas Txuas PIR Motion Sensor
Txuas Txuas PIR Motion Sensor
Txuas Txuas PIR Motion Sensor
Txuas Txuas PIR Motion Sensor

PIR tsab ntawv tsa suab sensor muaj peb tus pin: lub zog, gnd, thiab lub tswb ceeb toom (saib daim duab thawj). Tsab ntawv tsa suab taw qhia hauv cov lus qhia no tuaj yeem txuas ncaj qha rau hauv lub khob cij. Tab sis rau lub sensor peb siv peb yuav tsum tau txiav thiab hle cov xov hlau thiab tom qab ntawd muab lub qhov muag tso tawm kom tsis txhob tawg. Ntawm daim ntawv tais diav ntxig tus txiv neej rau poj niam jumper xaim hauv kab nrog lub zog thiab hauv av pins thiab tom qab ntawd tus txiv neej rau txiv neej jumper xaim hauv kab nrog lub tswb tswb (saib daim duab thib ob).

Kauj Ruam 7: Txuas Cov LED hauv Breadboard

Txuas Cov LED hauv Breadboard
Txuas Cov LED hauv Breadboard
Teeb Cov LED hauv Breadboard
Teeb Cov LED hauv Breadboard

Txuas lub LED rau hauv daim ntawv qhia zaub mov. Ntxig ib tug txiv neej dub rau txiv neej jumper cable hauv kab nrog luv luv ntawm LED. Tom qab ntawd ntsaws cov txiv neej sib txawv xim rau txiv neej jumper cable hauv kab nrog cov hlau lead ntev ntawm LED.

Kauj Ruam 8: Basys Board Kev Sib Txuas

Basys Board Kev Sib Txuas
Basys Board Kev Sib Txuas

Txuas tus poj niam xaus ntawm PIR lub suab ntsuas mus rau 5 qhov hluav taws xob qhov hluav taws xob ntawm lub hauv paus pawg thawj coj. Tom qab ntawd txuas tus txiv neej LED hauv av xaim mus rau hauv qhov chaw nres nkoj sab hauv av tom qab ntawd lub tswb hlau los ntawm PIR lub suab ntsuas thiab tom qab ntawd cov kab hluav taws xob LED nkag (raws li pom hauv daim duab).

Pom zoo: